КОРЗИНА
магазина
8 (499) 500-14-56 | ПН. - ПТ. 12:00-18:00
ЛЕСНОРЯДСКИЙ ПЕРЕУЛОК, 18С2, БЦ "ДМ-ПРЕСС"

Ползунковый потенциометр (Trema-модуль V2.0)

Общие сведения:

Trema-модуль ползунковый потенциометр работает по принципу обычного потенциометра. С помощью него можно легко регулировать скорости вращения двигателя, угол поворота сервопривода, яркость светодиода и многое другое в различных ваших проектах.

Исполнен в линейке Trema-модулей, благодаря этому вам не придется ничего паять и собирать на макетной плате.

Видео:

Спецификация:

  • Сопротивление: 10 кОм
  • Тип потенциометра: линейный
  • Габариты 30х65х29 мм без учета штырьков

Подключение:

Потенциометр можно подключить к любому аналоговому выводу Arduino.

В комплекте имеется кабель для быстрого и удобного подключения к Trema Shield.

Модуль удобно подключать 3 способами, в зависимости от ситуации:

Способ - 1 :  Используя проводной шлейф и Piranha UNO

Используя провода «Папа — Мама», подключаем  напрямую к контроллеру Piranha UNO

Способ - 2 :  Используя Trema Set Shield

Модуль можно подключить к любому из аналоговых входов Trema Set Shield.

Способ - 3 :  Используя проводной шлейф и Shield

Используя 3-х проводной шлейф, к  Trema Shield, Trema-Power Shield, Motor Shield, Trema Shield NANO и тд.

Подробнее о модуле:

Trema-модуль ползунковый потенциометр имеет три вывода: Signal (S), Vcc (V), GND (G). При подключении модуля на выходе S будет аналоговый сигнал в диапазоне градации от 0 до 1023.

Подробное описание и примеры работы с модулем описаны в разделе Wiki - Потенциометр.

Если вы хотите управлять потенциометром вращательным движением, а не линейным, то можете воспользоваться аналогичным вариантом Trema-модуль потенциометр.

Питание:

Рабочее напряжение питания до 5,5 В постоянного тока.

Примеры:

Управление яркостью светодиода.

int8_t   PotenciometrPin = A0;            // Указываем номер вывода, к которому подключен ползунковый потенциометр
int8_t   LED             = 5;             // Указываем номер вывода, к которому подключен светодиод
uint16_t Value           = 0;             // Определяем переменную для значений, считанных с ползункового потенциометра
uint8_t  PWM             = 0;             // Определяем переменную для значений, которые будут передаваться как ШИМ-сигнал
void setup() {
  pinMode(LED, OUTPUT);                   // Устанавливаем режим работы вывода LED как выход
}
void loop() {
  Value = analogRead(PotenciometrPin);    // Считываем значения с ползункового потенциометра
  PWM = map(Value, 0, 1023, 0, 255);      // Преобразуем полученный интервал значений с потенциометра в интервал для ШИМ-сигнала
  analogWrite(LED, PWM);                  // Подаём на вывод LED значение ШИМ-сигнала konvert
}

Ссылки:




Обсуждение

Гарантии и возврат Используя сайт Вы соглашаетесь с условями